24h購物| | PChome| 登入
2014-04-18 22:56:27
2012-12-31 11:51:37

[ITSA桂冠][方格法] a573. ITSA2012 桂冠 元件計算


內容 : 元件計算 Background由於在賽後無法得到題目描述與測資,小光盡可能地將原本題目描述清楚。 The Problem在平面上給定 N 個相同半徑 R 的圓,如果兩個圓有相交,則它們屬於同一個元件(component),...

2012-12-31 10:36:20

[C/C++] #define 語法練習

#define 說實在打 ACM 題目是只有常數還蠻常使用的,而在線上賽 code force, top coder ... 等,將會看到堆積如山的 #define 去壓縮打 coding 時間。1. 使用 ... 代表傳入參數,後面使用 __VA_ARGS__ 表示參數位置2....

2012-12-30 20:38:10

[UVA][JAVA] 10113 - Exchange Rates

Problem C: Exchange Rates Source file:exchange.{c, cpp, java, pas} Input file:exchange.in Output file:exchange.out Using money to pay for goods and services usually makes life easier, but...

2012-12-30 17:04:58

[UVA][隨機化] 11055 - Homogeneous squares

2006/2007 ACM International Collegiate Programming Contest University of Ulm Local Contest Homogeneous squares Assume you have a square of size n that is divided into n×n positions just a...

2012-12-30 14:12:49

[VHDL] 垃圾堆積區-移位器除頻器


D1.png除頻器library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity divider is port( Clock: in std_logic := '0'; CLRN: in std_logic := '0'; CC : out std_logic := '0' );end e...

2012-12-30 14:08:13

[VHDL] 垃圾推積區-計數器


RTL viewer.pngJK正反器library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity jkflipflop is port( Clock: in std_logic := '0'; PRN: in std_logic := '1'; CLRN: in std_logic := ...

2012-12-30 13:57:12

[線性代數][作業] 旋轉矩陣


Linear Algebra: Programing Homework 2 2012/11/29 題  目:給定一個三角形,其頂點座標為:(-50,0)、(0,0)、(50,100),,依下列案例要求對三角形做出相對應的向量旋轉運算,並輸出變換後的...

2012-12-30 13:45:56

[資料結構][作業] Heap Sort

建立一個Max-Heap heap建構方法使用Bottom-Up(使用Top-down而造成level order不同的話視為 輸出錯誤) 輸入格式跟作業3一樣用動態讀取 然後請輸出Level Order和Heap Sort,例如: Sample Input: 3 5 2 7 4 8 6 Sampl...

2012-12-30 08:28:22

[NPSC][方格法] b256. E. 大風吹


內容 : A 跟他的朋友們很喜歡玩團康遊戲,今天他們玩的遊戲是大風吹。規則是這樣的,假設有N個人編號從1到N,一開始每個人會坐在一張編號與自己相同的椅子上,椅 子的位置在座標 ( xi , yi ...

2012-12-28 22:13:39

[UVA][dfs] 732 - Anagrams by Stack

Anagrams by Stack How can anagrams result from sequences of stack operations? There are two sequences of stack operators which can convert TROT to TORT: [ i i i i o o o o i o i i o o i...

2012-12-28 22:10:25

[UVA][大數] 12505 - Searching in sqrt(n)

Searching in sqrt(n) In binary, the square root of 2, denoted by sqrt(2), is an infinite number 1.0110101000001001111... Given an integer n and a binary string (i.e. a string consisting of...

2012-12-28 16:17:06

[UVA][DLX][舞鏈] 387 - A Puzzling Problem


A Puzzling Problem The goal of this problem is to write a program which will take from 1 to 5 puzzle pieces such as those shown below and arrange them, if possible, to form a square. An example ...

2012-12-27 18:05:29

[UVA][DLX][舞鏈] 1309 - Sudoku

A Sudoku grid is a 16 x 16 grid of cells grouped in sixteen 4 x 4 squares, where some cells are filled with letters from A to P (the first 16 capital letters of the English alphabet), as shown in ...

2012-12-27 15:50:15

[UVA][dp] 12589 - Learning Vector

題目意思:從 N 個向量挑出 K 個,然後從 (0,0) 開始加,與 X 軸圍成的最大面積為何?解法:很明顯地,假使全部都選,肯定是形成一個凸多邊形,如果不是,把他調成凸多邊形一定更大。由於只能挑 K 個,我們先將斜率由...

2012-12-27 07:50:05

[UVA][殺人遊戲][zkw線段樹] 1394 - And Then There Was One

Let's play a stone removing game. Initially, n stones are arranged on a circle and numbered 1,..., n clockwise (Figure 1). You are also given two numbers k and m . From this state, remo...

第一頁      ‹上一頁       61 .  62 .  63 .  64 .  65 .  66 .  67 .  68 .  69 .  70 .      下一頁›      最末頁
«上十頁      第 61 / 142 頁 , 共 2116 筆       下十頁»      
TOP