24h購物| | PChome| 登入
2014-04-18 22:56:27
2012-12-17 14:05:37

[UVA][math] 580 - Critical Mass

Critical MassDuring the early stages of the Manhattan Project, the dangers of the new radioctive materials were not widely known. Vast new factory cities were built to manufacture uranium and plu- ton...

2012-12-16 20:39:00

[VHDL] 垃圾堆積區-正反器

RS正反器library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity rsflipflop is port ( Clock: in std_logic := '0'; R: in std_logic := '0'; S: in std_logic := '0'; Q: out std_l...

2012-12-16 18:50:12

[UVA][因數] 12573 - Sohel Sir's Assignment


Sohel Sir's Assignment Sohel sir gave an assignment in CSE-315 course instead of a class test. The assignment was to make questions and provide corresponding answers from the chapters 2, 3, 4, 5. ...

2012-12-16 17:36:06

[UVA][bitmask+背包] 10032 - Tug of War

Problem F: Tug of War A tug of war is to be arranged at the local office picnic. For the tug of war, the picnickers must be divided into two teams. Each person must be on one team or the other;...

2012-12-16 13:32:58

[UVA][解二][二分+負環] 11090 - Going in Cycle!!

I I U P C 2 0 0 6 Problem G: Going in Cycle!! Input: standard input Output: standard output You are given a weighted directed...

2012-12-16 13:04:37

[UVA][解一][spfa+窮舉] 11090 - Going in Cycle!!

I I U P C 2 0 0 6 Problem G: Going in Cycle!! Input: standard input Output: standard output You are given a weighted directed...

2012-12-15 18:51:35

[UVA][math] 10655 - Contemplation - Algebra

10655 - Contemplation - AlgebraProblem EContemplation! AlgebraInput:Standard InputOutput:Standard OutputTime Limit:1 SecondGiven the value ofa+bandabyou will have to find the value ofan+bnInputThe inp...

2012-12-15 14:34:55

[UVA][半高斯] 10524 - Matrix Reloaded

THE SAMS' CONTEST Problem 5 Matrix Reloaded BACKGROUND Moni is a brilliant student of BUET. After the last class of the current term (semest...

2012-12-15 10:37:21

[UVA][二分+貪婪] 11516 - WiFi

Problem B: WiFi One day, the residents of Main Street got together and decided that they would install wireless internet on their street, with coverage for every house. Now they need your help to...

2012-12-15 09:57:15

[UVA][零錢dp] 242 - Stamps and Envelope Size


Stamps and Envelope Size Philatelists have collected stamps since long before postal workers were disgruntled. An excess of stamps may be bad news to a country's postal service, but good news t...

2012-12-15 09:31:21

[UVA][凸包] 209 - Triangular Vertices


Triangular Vertices Consider the points on an infinite grid of equilateral triangles as shown below: Note that if we number the points from left to right and top to bottom, then groups o...

2012-12-13 17:47:26

[UVA][第K短路徑] 10740 - Not the Best


Problem ANot the BestInput: standard inputOutput: standard outputTime Limit: 1 second Abul isnot the best student in his class; neither is he the best player in his team.Not that he is bad; he is real...

2012-12-12 09:37:02

[UVA][二項式定理][log] 10883 - Supermean

Problem F Supermean Time Limit: 2 second "I have not failed. I've just found 10,000 ways that won't work." Thomas Edison Do you know how to compute the mean (or average) of n nu...

2012-12-12 08:35:31

[UVA][sieve] 897 - Anagrammatic Primes

Anagrammatic Primes A number greater than one is prime if it has no divisors other than itself and 1 (note that 1 is not prime). For example, 23 is prime and 35 is not prime because 35 = 7 × ...

2012-12-10 17:08:07

[UVA][遞迴] 11173 - Grey Codes

Problem I Grey Codes Input: Standard Input Output: Standard Output Gray hair is God's graffiti. Bill Cosby We are going to generate a sequence of int...

第一頁      ‹上一頁       61 .  62 .  63 .  64 .  65 .  66 .  67 .  68 .  69 .  70 .      下一頁›      最末頁
«上十頁      第 64 / 142 頁 , 共 2116 筆       下十頁»      
TOP